一、时序设计
方法1.通过状态机来实现,通过verilog控制fpga,让它该快的时候快,该慢的时候慢。
方法2.fpga中运行cpu
把逻辑控制顺序复杂的事情用c代码来实现,而实时处理部分用verilog实现,并且verilog这部分可以被c代码控制。xilinx的fpga目前支持的cpu有microblaze,arm9,powerpc,其中microblaze是软核,其余的两款是硬核。
(1)软核就是用代码实现的cpu核,配置灵活;
(2)硬核就是一块电路,已经做好了,不能再发生变化;
软核灵活性好,但是要占用fpga的资源。硬核不占用fpga的资源,速度和性能更好。比如xilinx的ddr内存控制器,就是一种硬核,其运行速度很高。
二、基础语法
1. always @(),括号里是*,表明是一直敏感的;
2. (1) <= 非阻塞赋值,在一个always模块中,所有语句一起更新
(2) = 阻塞赋值,或者给信号赋值,如果在always模块中,这条语句被立刻执行。
非阻塞赋值
always @(posedge clk)begin a <= b; c <= a; end
执行结果是a的值是b,c的结果依旧是a
阻塞赋值
always @(posedge clk)begin a = b; c = a;end
执行结果a的值是b,c的结果也是b。
一般我们使用的都是非阻塞的赋值语句,这样可以很好地控制同步性。
3、预处理命令`include file1.v`define x=1;`define y;`ifdef y z = 1;`else z = 0;`endid
有时候需要一些公共的宏参数,我们可以放在一个文件中,比如文件名xxx.v,。那么我们就可以 `include xxx.v ,就可以包含文件中定义的宏参数
三、小练习
1.加法器的设计
module adder(input [3:0] a,input [3:0] b,input cin,output [3:0] sum,output cout);assign {cout,sum} = a + b + cin;endmodule
rtl视图
rtl 技术原理图
仿真代码
`timescale 1ns / 1ps //1ns的仿真刻度,1ps的仿真精度//////////////////////////////////////////////////////////////////////////////////// company:// engineer://// create date: 2017/10/22 1058// design name:// module name: simu// project name:// target devices:// tool versions:// description://// dependencies://// revision:// revision 0.01 - file created// additional comments:////////////////////////////////////////////////////////////////////////////////////module simu();reg [3:0] a;reg [3:0] b;reg cin;wire cout;wire [3:0] sum;reg [4:0] i,j; //中间变量adder inst(.a(a),.b(b),.cin(cin),.cout(cout),.sum(sum));initial begina =0; b=0; cin=0;for(i=1;i<16;i=i+1)#10 a = i;endinitial beginfor(j=1;j<16;j=j+1)#10 b = j;endinitial begin$monitor($time,,,%d + %d + %b = {%b,%d},a,b,cin,cout,sum);#160 $finish; //160ns 后仿真结束endendmodule
仿真波形
打印输出结果
FPGA加速器支撑ChatGPT类大语言模型创新
介绍一款用于室内测距的UWB模块SKU603
ANDON安灯异常系统有哪些功能和特点呢
人工智能赋能各行业智能化发展
SOP-8贴片士兰微SDH8302S电磁炉开关电源芯片
Xilinx FPGA学习笔记
如何进行可视化深度学习模型和性能指标
CKBO电机保护控制器的特点、技术参数和使用方法
噪音频现:人类未来会向机器人讨饭?
我国民营火箭企业“星际荣耀”完成A轮融资,日本民营火箭企业发射火箭失败
高速串行数据技术的电源管理和跨总线分析
为什么深度模型在 CV 领域好使,但用在图网络上就这么难呢?
数控系统的组成以及工作过程的详细介绍
难怪家里的网总不好!原来是路由器的天线摆错了!
高通正式宣布年度股东大会将推迟至4月5日举行
户外led显示屏安装注意事项
工业机器人具有什么样的加工优势?
科技零距离 | 5G R17标准研测如何“快、好、省”?
示波器探头的选择和使用系列(二),三代功率半导体电路测试的DP0001A高压差分探头
华米确认推出Amazfit首款TWS耳机新品,入耳式设计搭载心率传感器