-- filename ﹕ clkdiv.vhd
-- author ﹕ wuhouhang
-- description ﹕ 分频计数器,50mhz时钟做分频后的50%占空比方波驱动蜂鸣器发声
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity clkdiv is
port (
clk : in std_logic; --50mhz输入时钟
rst_n : in std_logic; --低电平复位信号
clk_div : out std_logic --分频信号,连接到蜂鸣器
);
end entity clkdiv;
--20bit计数器循环计数
architecture counter of clkdiv is
signal cnt20b : std_logic_vector (19 downto 0); --20bit计数器
begin
process (clk,rst_n)
begin
if rst_n = '0' then
cnt20b <= x00000;
elsif clk'event and clk = '1' then
cnt20b <= cnt20b+1; --分频计数
end if;
end process;
clk_div <= cnt20b(19); --分频赋值
end architecture counter;
由京东方引领的显示面板大战即将在国内打响!
印制电路板的质量要求_印制电路板的原理
中国联通集团党组书记、董事长王晓初亲自授课
智能停车产业化 解决特定“痛点”的“小而美”产品有前途
跃芯微AMP83系列表压类压力传感器介绍
BJ-EPM CPLD开发板:VHDL入门例程1
国内首个智能路由安全解决方案商用部署
5G网络在军用场景的应用介绍
怎样把CCFL屏幕改成LED屏幕
怎样让电力物联网有智慧
如何高效调整PCB元件的丝印位号?
广州首现无人机外卖 送货只需15分钟!
电源的红绿色指示灯是怎样工作的
中国移动联合影创 推出了一款新型MR眼镜——即墨Air
沃尔玛为什么申请区块链专利?
基于Xllinx Vivado HLS的小型无人机平衡仪设计
你了解三极管 ,但了解晶闸管吗?
如何进行晶粒度分析
英特尔通过存储创新来加速以数据为中心的技术发展
led灯珠分类有哪些?led灯珠有哪几类